ZX Spectrum «Ленинград 48к» — сборка, наладка, увеличение памяти, доработки, схемы, видео

ZX Spectrum «Ленинград 48к» - сборка, наладка, увеличение памяти, доработки, схемы, видео Компьютер

Zx spectrum «ленинград 48к» — сборка, наладка, увеличение памяти, доработки, схемы, видео

kolondrej, не прокатит ) Да, синхросигнал укоротится, но появится ещё один лишний импульс, что приведет к срыву картинки, плюс строка сместится в сторону от центра, т.е. рисунок будет сдвинут.

Я создал схему в Eagle CAD, программе для создания досок и диаграмм, чтобы закончить «Нафани», Александр.

А для симуляции можно использовать, например, «Icarus Verilog» (http://iverilog.icarus.com/) «GTKWave» (http://gtkwave.sourceforge.net/).

Verilog HDL, язык, используемый для описания и моделирования электронных систем, является основой для всех логик TTL. Как я могу найти исходный код серии 74x? Библиотека mac plus 2 программы Juartus II 13 содержит наиболее полную коллекцию логики. Схема может быть создана в этой программе и экспортирована в Verilog HDL. Кроме того, каждый источник для необходимой логической микросхемы может быть экспортирован из Juartus.

Сопоставить отечественную маркировку с импортной можно по справочникам, например:
http://rfanat.qrz.ru/s21/ms_74xx.html

Теоретически смоделировать тактовый генератор просто и прямолинейно. Потребуются только TM2 (7473.v) и IE7 (75193.v).

Создать нам надо будет четыре файла:
leningrad.v – это будет часть тактового генератора «Ленинграда»
leningrad_tb.v — test bench (файл, в котором описаны параметры симуляции)
7474.v – триггер ТМ2
74193.v – счетчик ИЕ7

——————————————

Файл leningrad.v

module leningrad(
CLK,
VCC,
GND
);

// Входные сигналы: CLK = 14 МГц, VCC = 1, GND = 0
input wire    CLK;
input wire    VCC;
input wire    GND;

wire H0;
wire H1;
wire H2;
wire H3;

wire TI;
wire TI_inv;

wire RAS;
wire RAS_inv;

ttl_7474 D2(
.n1CLK(CLK),
.n1D(TI_inv),
.n1PRN(VCC),
.n1CLRN(VCC),
.n1Q(TI),
.n1QN(TI_inv),
.n2CLK(TI),
.n2D(H0),
.n2PRN(VCC),
.n2CLRN(VCC),
.n2Q(RAS_inv),
.n2QN(RAS));

wire [3:0] H;
wire [3:0] P = 4’b1111;

counter_74193 D3(.clr(GND),.up(TI_inv),.load_n(VCC),.P(P),.Q(H));

assign    H0 = H[0];
assign    H1 = H[1];
assign    H2 = H[2];
assign    H3 = H[3];

endmodule

——————————————

Файл leningrad_tb.v

» Временная шкала: 1 нс\/100 пс»

Модуль leningrad_tb;

reg CLK, VCC=1, GND=0;

leningrad LENINGRAD (.CLK(CLK),.VCC(VCC),.GND(GND));

// Период в наносекундах. Для 14 МГц, период будет равен: 1000/14=71,42
always #71 begin
CLK =~ CLK;
end

initial begin
CLK=0;
// Длительность симуляции в наносекундах
#7100 $finish;
end

// Полный дамп результатов симуляции
initial begin
$dumpfile(«leningrad_tb.vcd»);
$dumpvars(0,leningrad_tb);
end

endmodule

——————————————

Файл 7475.v

// Purpose: D flip-flop
// Western: SN74LS74
// USSR: K555TM2/К555ТМ2

module ttl_7474 (
n2CLRN,
n2CLK,
n2D,
n2PRN,
n1CLRN,
n1CLK,
n1D,
n1PRN,
n1Q,
n1QN,
n2Q,
n2QN
);

input wire    n2CLRN;
input wire    n2CLK;
input wire    n2D;
input wire    n2PRN;
input wire    n1CLRN;
input wire    n1CLK;
input wire    n1D;
input wire    n1PRN;
output wire    n1Q;
output wire    n1QN;
output wire    n2Q;
output wire    n2QN;

reg    DFF_10=0;
reg    DFF_9=0;

assign    n1Q = DFF_9;
assign    n2Q = DFF_10;

always@(posedge n2CLK or negedge n2CLRN or negedge n2PRN)
begin
if (!n2CLRN)
begin
DFF_10 <= 0;
end
else
if (!n2PRN)
begin
DFF_10 <= 1;
end
else
begin
DFF_10 <= n2D;
end
end

Назначить n2qn быть dff_10;

Учитывая 1QN значение DFF_9;

always@(posedge n1CLK or negedge n1CLRN or negedge n1PRN)
begin
if (!n1CLRN)
begin
DFF_9 <= 0;
end
else
if (!n1PRN)
begin
DFF_9 <= 1;
end
else
begin
DFF_9 <= n1D;
end
end

endmodule

——————————————

Файл 74193.v

// Purpose: Presettable 4-bit binary up/down counter
// Western: SN74LS193
// USSR: 555IE7/555ИЕ7

module counter_74193
(
input clr,
input up,
input down,
input load_n,    // Initial counter value load strobe
input [3:0] P,   // 4-bit parallel input

  output co_n,      // Carry-out
output bo_n,     // Borrow-our
output [3:0] Q
);

reg co = 1’b0;
reg bo = 1’b0;
reg [3:0] count = 0;

always @(posedge clr or negedge load_n or posedge up or posedge down)
begin
if (clr)
begin
count <= 4’b0000;
co <= 1’b0;
bo <= 1’b0;
end
else if (~load_n)
count <= P;
else if (up)
begin
count <= count   1;
// Set carry-out flag when counted till 14 (‘b1110) and up is active (high)
co <= ~count[0] & count[1] & count[2] & count[3] & up;
bo <= 1’b0;
end
else if (down)
begin
count <= count — 1;
co <= 1’b0;
// Set borrow out flag when counted till 1 (‘b0001) and down is active (high)
bo <= ~(~count[0] | count[1] | count[2] | count[3]) & down;
end
end

assign Q = count;
assign co_n = ~co;
assign bo_n = ~bo;

endmodule

——————————————

Компилируем так (на Linux):
iverilog -o leningrad_tb -s leningrad_tb *.v
vvp leningrad_tb

Leningrad_tb.vcda, выходной файл, открывается в GTKWave следующим образом:

ZX Spectrum «Ленинград 48к» - сборка, наладка, увеличение памяти, доработки, схемы, видео

Теперь мы видим, что
1. TI = CLK/2 = 14/2= 7 МГц
2. RAS = TI/2 = 7/2 = 3,5 МГц
3. H0 = 3,5 МГц (смещен относительно RAS)
4. H1 = 1,75 МГц (отсюда берут тактовый сигнал для AY-3-8910)
5. H2 = 875 КГц
6. H3 = 437,5 КГц

Вы можете смоделировать весь «Ленинград», используя исходный код для процессора 80 в сети, которая записана в Verilog HDL. Тем не менее, будут некоторые проблемы при точной моделировании сигнала INT.

Как сделать свой компьютер zx spectrum

Звонок в 14.30.
Далее я-я, г-доставщик хам, с гоповатой манерой общения.
Г — Здрасте, ну я приехал.
когда вы подойдете.
Я — добрый день. Вам нужно ждать до 19.00, я именно на это время заказывал доставку.
Г — не ну а че ты (уже на ты, хахаха, я прям вот уже все понял) оторваться не можешь…
ну подъедь ту быстренько поднимем (то есть я уже второй грузчик )
я — «от объяснил вежливо какая работа, что нельзя даже пернуть в сторону проходной»
г — ну я не знаюююююю

🤦‍ 🤦‍чего.

Я — за то я знаю.
Сам хочу уйти в 17, редко получается.
Может в 17 освобожусь, может в 18.
ждите, можете не ждать.
Г — нууу лааадноооооо

Потом звонок каждые пол часа освободился я или нет)))
В итоге
В 16.50!!!! Когда я еще даже работал звонок
г — ну яя поеххаааллл,
я- (спокойно, вежливо) и даже не подождете ??
Г — нет , ты че еще пробки, в ночь что ли ехать…
Я — хорошо!!! И кладу трубку.
тут же набираю оператору, объясняю ситуацию.
Она смотрит мой заказ, подтверждает, что ванна на 19.00 заказана.
предлагает развернуть этого шайтана.
Я отказываюсь категорически.
культурно сказал, не хочу его ворчание и нытье слушать, какой я стукач.

А ведь так и было бы))
Знаю я этих ребят. Сначала хотел на шару разгрузить в 3 часа.
потом доебывал.
потом на понт взять, мол типо я поехал побегай за мной, лох не мамонт не вымрешь

А потом сказать, какой яреч и педик (не он, конечно).

В итоге отказался, сказал мне с таким подходом от них ничего не надо.
Исправьте свое нутро для начала, потом тогда и поговорим
Меня поблагодарили и сказали исправятся.

Ой че тут началось.
через 5 минут этот тип начинает ззвонить!!
😂😂😂
он звонил не переставая, раз 7 я ждал потом заблокировал )))

Пы. Сы.
магазин исправился.
Сами позвонили, сами очень вежливо попросили у них все равно купить.
сами все проговорили, сказали, что грузчики будут адекватные.

Во вторник двое мужчин, которые появились в течение 7 часов, были за тридцать.

Очень позитивные, вежливые, опрятные, аккуратные.
Все принесли, распаковали, показали.

Мораль?))
Я думаю все поняли, тем более, что по сути то по теме.

Компьютер с нуля своими руками — lego для тех, кто постарше. атм турбо 2 (клон zx spectrum)

Всем привет!Мы собираемся построить функционирующий компьютер сегодня!Кроме того, вам не нужно торопиться в магазин, чтобы купить материнские платы, мониторы или планки RAM, потому что у нас сегодня есть специальный гость: легендарный компьютер 1990 -х годов. Мы составим его с нуля, как это должно быть для любого спектра.

Я подготовил краткую отрывку из видео для тестовых версий, в частности. В общем, наслаждайтесь!

HotPixel — это ваш!

Заходите и ознакомьтесь с интересным контентом на моем канале YouTube.

====================================================================================

Давайте начнем с определения того, почему этот компьютер считается продвинутым.

Характеристики раннего среднего клона спектра 1990-х годов были следующими:

Процессор с частотой 3.5 МГц

— 48 или 128 Кб ОЗУ

Разрешение экрана 256х192

40 или 58 механическая клавиатура

— Дополнительный диск и музыкальный процессор.

T M Turbo обеспечивает те же преимущества плюс:

L PT порты

1024 Кб ОЗУ

— COVOX (аналог звуковой карты)

— Поддержка ОС CP \ /M, которая имеет собственный расширенный режим 680×200 и разрешение 320×240.

Поддержка PS\/2-совместимой клавиатуры IBM

— Разгон до 7 МГц.

Источник постоянного тока для обработки аналоговых сигналов

Стерео звук.

Поддержку IDE жестких дисков.

Это внушительный список «плюшек»!

Для создания нашего суперкомпьютера нам понадобится около 100 микросхем, музыкальный процессор 80 и несколько периферийных контроллеров.

Несмотря на то, что он не смог получить все небольшие логические контроллеры, чистая доска была заказана в Китае.

Некоторые микросхемы будут размещены на плате, а другие, которые могут вызвать проблемы, будут размещены в панелях.

Теперь, когда все основные части спаяны вместе, можно подключить питание.

Отсутствие процессоров и контроллера при запуске не выявило дыма или короткого замыкания. Матрица на экране указывает на правильное формирование тактовой частоты и надлежащие условия работы памяти.

Поскольку на платах ATM источник питания очень плохо разбавлен, мы затем припаиваем блокирующие конденсаторы, чтобы подавить помехи и обеспечить стабильную работу логики.

Установка центрального процессора, генераторов знаков и контроллера клавиатуры.

Мы начали изучать дисковую систему. Попробуйте загрузить что-нибудь с этой кассеты после установки контроллера дисковода VG93.

Мне пришлось повозиться с подключением жесткого диска. Компьютер был плотно подвешен после подключения жесткого диска или компактной флешки.

Перестановка контактов разъема позволит вам изменить конфигурацию контактов.

Блок питания нужно было правильно организовать, поскольку компьютер питается тремя напряжениями. Для этого я вытравил плату, на которой будут размещены мощный 5v DC-DC преобразователь и китайский 12v модуль.

Пришло время модернизировать наш компьютер, потому что, если это не так, спектр перестанет существовать. Чтение и письмо на диск выполняются на стандартной скорости, а движение головы ускоряется. Это суть турбонаддува. Более быстрая загрузка дисковода и более тихой работы привода — это преимущества работы в этом режиме.

Плата адаптера будет использоваться в обычном месте контроллера дисковода для установки плат на входе.

Травим, обрезаем, наносим масочку, паяем.

Она немного похожа на монстра, но вы можете сделать ее снова нормальной.

В этом случае звуковые выходы и переключатель режимов работы процессора будут расположены на другом платке.

Мы красим, сверлим и паяем.

Чтобы внести коррективы, нужна некоторая лапша.

Спектурм любит МГТФ

Готовый носовой платок в собранном виде. Из-за технических проблем mouseadapter пришлось удалить.

Компьютер собран и протестирован. Однако на данный момент он представляет собой лишь набор проводов и печатных плат; полноценному компьютеру не хватает корпуса.

Я хочу сказать это, потому что я уверен, что люди спросят: «Зачем вам это?», «Какой -то калькулятор» и аналогичные запросы. Спектр был моим первым компьютером. Я не выступаю за эту работу, которая будет выполнена на ней. Современный компьютер доступен для этих задач, и, хотя некоторые люди любят собирать модельные танки, самолеты или другие военные вооружения, другие предпочитают лази на диван и не обращать внимания. И у меня нет желания справляться с этой ситуацией (как это было бы не интересно), а также интереса: мне нравится возиться со старыми железными объектами, даже если у них нет реальной цели. Спасибо, и увидимся позже!

Оцените статью
OverComp.ru